hardcamlversion

RTL Hardware Design in OCaml

Hardcaml is an embedded DSL for designing and simulating hardware in OCaml. Generic hardware designs are easily expressed using features such as higher order functions, lists, maps etc. A built in simulator allows designs to be simulated within Hardcaml. Designs are converted to either Verilog or VHDL to interact with standard back end tooling.

AuthorJane Street Group, LLC
LicenseMIT
Published
Homepagehttps://github.com/janestreet/hardcaml
Issue Trackerhttps://github.com/janestreet/hardcaml/issues
MaintainerJane Street developers
Availablearch != "arm32" & arch != "x86_32"
Dependencies
Source [http] https://github.com/janestreet/hardcaml/archive/v0.14.1.tar.gz
sha256=c18c14488da5759d3504c9a723086b99fe73c95871bd9c4de74ff032dd44b2fc
md5=b05e14f646ad959aba2c4454d1cf143d
Edithttps://github.com/ocaml/opam-repository/tree/master/packages/hardcaml/hardcaml.v0.14.1/opam
Required by